首页作文素材好词好句历史典故写作技巧考场素材单元作文英语作文小升初作文名人故事时事论据 名言警句范文大全精美散文
小学作文
初中作文
高中作文
作文体裁

基于FPGA+AD7606,的多通道数据采样系统设计与实现

时间:2023-02-15 06:45:04 来源:爱作文网  爱作文网手机站

蒋思宇,王 斌,余龙海,余腾飞

(1.武汉科技大学信息科学与工程学院,湖北武汉 430081;
2.大力电工襄阳股份有限公司,湖北 襄阳 441057;
3.湖北春田电工技术有限公司,湖北 武汉 430073)

“双碳”目标的提出,促进了我国能源生产和消费的深入推进,同时也对电力系统尤其是配电网提出了更高的要求[1]。柔性互联配电网有效实现了多个交直流区域的互联,其将在未来电力系统转型中扮演关键角色[2-3]。传统配电方式向柔性互联配电转变,要求电力系统具备更强的控制、保护及测量能力,这也使得系统中控制、监测的反馈信号较多,其中包括交直流信号。为了使这类信号能够被实时监测到,需要对其进行严格的同步性监测和处理,这意味着对于系统内的整个采样电路而言,采样精度和速度的要求必须被满足。

该文利用具有较好采样特性的AD7606 采样芯片设计采样电路,基于Altera 公司的EP4CE10F17C8型FPGA 进行采样控制处理,充分结合状态机思想,利用Verilog 语言编程设计采样芯片内部的逻辑时序。实验结果表明,该AD 采样系统的设计有效,采样精度及采样可靠性满足要求,能够明显提高处理器的控制效率。

可编程逻辑器件FPGA 具备时钟频率高、速度快、并行运算能力优秀等优点,在数据采集领域发挥着重要的作用[4-6]。基于FPGA +AD7606 的采样系统整体设计如图1 所示。

根据该结构可知,系统中所有的电压、电流信号并不会被直接作为AD 采样的输入信号,在这之前还需要通过一系列信号变换。首先霍尔传感器的隔离缩放使得原始的电压和电流信号全部都转化为电压信号,然后区分直流电压和交流电压信号,直流信号经过信号跟随电路,交流信号经过信号调节电路,转化为满足AD 采样芯片要求的模拟电压信号,保证模拟信号采集的稳定性。在FPGA 控制下,AD 芯片将模拟量转化为数字量,并将这一采样结果存储在内部双口RAM 中,方便系统随时读取。另外,为避免电路中从外界输入的模拟电压值过高导致芯片烧毁的情况,在该系统中设计了信号跟随/调理电路,作为AD 芯片输入信号的物理隔离,同时完成接口芯片之间的电平转换。

利用Altera 公司的EP4CE10F17C8 型号FPGA作为控制处理器,对采样芯片进行时序控制,将采集到的数据信号在FPGA 的控制下进行锁存,直接将其存储到事先在FPGA 里设置的双口RAM 中,这种存储方式能够有效提高数据读取的速度和处理器CPU 的处理效率[7-9]。

2.1 AD7606简介

AD 采样芯片采用的是美国Analog Device 公司推出的A/D 转化芯片AD7606,该芯片是一款高分辨率、低功耗、同步采样的高性能模数转换器。其中,两个CONVST 引脚使该芯片具备八通道同步采样的优势,同时芯片上集成抗混叠滤波器、高速串并行接口等模块,使数据采集系统的设计更为简便[10-12]。AD7606 接口电路如图2 所示。

AD7606 采样芯片可以灵活地实现串并行输出,根据其数据手册,芯片转换时序图如图3 所示。其转换过程为,首先选择AD 转换模式,然后给引脚CONVST 提供负脉冲,此时AD7606 芯片已经被启动,每当CONVST 的上升沿到来时,系统内部开始进行模数转换,信号在同一时刻被采样,引脚BUSY 的输出为高电平。同样地,当下降沿到来,表明此刻各通道模数转换已经结束,并行总线DB[15∶0]输出的即是在信号同时控制下读出的新数据。该文设计的基于AD7606 采样系统选取并行输出方式,通过FPGA 运行控制,结合硬件电路中常用的状态机设计思想,完成对AD7606 的时序控制。

2.2 状态机模型

对于状态机设计而言,其最大的优势在于能够并行完成诸多运算和控制操作,有效提高了控制系统的工作效率。因此,在大多数并行AD/DA 元件的控制中,该模型应用领域较广[13-15]。

状态机模型如图4 所示,下一个状态输出由当前状态和输入共同决定。

有限状态机的数学模型可以写为(Σ,Γ,S,s0,δ,ω)。式中,Σ 是输入字母表;
Γ 是输出字母表;
S是状态的集合;
s0是初始状态;
δ是状态转移函数;
ω是输出函数。其中有:

2.3 AD采样系统的时序设计

在硬件电路中,状态机的设计思想能够在一定程度上提升系统整体运行的效果,包括其运行速度和可靠性,同时简化了硬件编程思路。

在系统硬件编程中,相关内部时序均按照采样芯片AD7606 的工作原理及转换时序逻辑设计。

在AD 芯片的控制中,AD7606 转换控制信号为CONVSTA 和CONVSTB。就采样通道而言,CONVSTA控制V1、V2、V3、V4 通道,CONVSTB 控制V5、V6、V7、V8 通道。为得到八通道同步采样转换效果,该系统将CONVSTA 和CONVSTB进行短接。其中,S0是空闲状态,此时为高电平,为高电平。根据上文介绍的AD7606 工作原理可知,BUSY 信号是判断系统内数据转换是否还在进行的关键,这一信号状态的获取由边沿检测方法实现。当八个通道的数据全部读取完成后,回到初始S0 状态。

根据上述状态机所述转换过程,绘制出如图5所示的FPGA 硬件程序设计框图[15],其设计思路是在FPGA 中采用verilog 语言,通过EDA 开发平台,结合状态机设计方法[16],完成FPGA 对AD7606 的相关配置,并将读取的数据实时存储在RAM 中,方便DSP对采样结果的实时获取,同时有利于不定长度连续地采样数据信号。对比利用传统中断或查询方式获取采样结果,文中的数据获取方式减小了对DSP 的资源占用,提高了系统运行效率。

3.1 数据采集实验

利用QUARTUS 软件,该文结合modelsim 联合仿真实现了基于FPGA 的多通道AD7606 芯片采样系统的仿真实验,为使仿真结果更加准确,首先以$random 随机函数模拟采样信号,其AD7606 控制时序结果与数据输出结果分别如图6 和图7 所示。

对于芯片AD7606,其编码输出结果为16 bit,最高位为符号位:0 表示正数,1 表示负数。因此,当选取的电压基准值为±5 V 时,其电压换算的理论值计算公式为:

式中,U表示电压折算成模拟值的理论电压值;
γ表示采样码值的正负十进制数(范围为-32 768~32 767)。

实验表明,基于FPGA 设计AD7606 多通道采样系统能够有效完成采样功能,采样精度及采样可靠性满足要求,其采样结果图符合上文列出AD7606的转换时序图(如图3 所示),验证了实验结果的可行性。

3.2 采样系统准确率测试

为测试该文所设计采样系统的准确率,实验采用Altera 公司的EP4CE10F17C8 型FPGA 作为可编程逻辑控件,这里以锯齿波的采样传输为例,用信号发生器中的锯齿波作为模拟信号输入,仿真综合后通过软件自带的quartus singletap 功能抓取波形,继而导出数据,将该数据存储成.mat 文件,利用Matlab软件画出该曲线并计算出理论值,将曲线放在同一坐标下进行比较,其结果如图8 所示。

由图8 可知,利用AD7606 芯片进行数据转换,其测量误差小,说明了该系统设计的准确性。

3.3 采样系统在柔性互联配电网中的应用

随着我国电网技术发展变革进入新阶段,对于柔性互联配电系统设备的要求也越来越高,电压电流信号的实时监测成为保证电网运行可靠性的重要前提。

将该文设计的实时采样控制电路应用在基于柔性互联技术的企业级配电系统中,这里仅以某一时刻电压的采集进行说明,表1 分别列出了几组实际测量值和数字量换算之后电压值的比较。

表1 电压值采集比较

从表1 的数据可以看出,两组值之间的误差仍然控制在较小范围内,该误差主要来源于信号采样损耗。当运用到实际采样控制电路中时,实际电压值越大,AD 转换的结果就越准确。整体上来看,该采样系统在采样稳定性、准确性上均达到了要求。

结合状态机编程思想,设计了一种基于FPGA+AD7606 控制的实时采样电路,并将其实际运用在某企业级柔性互联配电中心电路中。实验表明,该采样系统的稳定性、误差均达到要求,能够被很好地运用在柔性配电系统中,具有很强的工程实用价值。

FPGA 时钟频率高、速度快、并行运行能力强,很好地实现了对于多通道同步采样系统的控制;
同时,其内部集成的独立运算单元和存储器资源使其具有良好的数字信号处理能力。基于FPGA 的电路设计控制较灵活,容易在仿真软件上进行调试验证,方便移植到各种控制系统中。若针对其他电路系统有不同配置要求,也可以在采样系统中采用多片AD7606进行设计。

猜你喜欢 状态机时序电路 顾及多种弛豫模型的GNSS坐标时序分析软件GTSA导航定位学报(2022年5期)2022-10-13电路的保护初中生学习指导·中考版(2022年4期)2022-05-12清明小猕猴智力画刊(2022年3期)2022-03-28基于GEE平台与Sentinel-NDVI时序数据江汉平原种植模式提取农业工程学报(2022年1期)2022-03-25基于Verilog 的有限状态机编程方式及研究数字技术与应用(2021年4期)2021-06-01FPGA状态机综合可靠性探究 ①空间电子技术(2021年1期)2021-04-09你不能把整个春天都搬到冬天来意林·作文素材(2021年23期)2021-01-22“简化法”巧解电路问题高考·中(2020年2期)2020-09-10基于有限状态机的交会对接飞行任务规划方法北京航空航天大学学报(2019年9期)2019-10-26巧用立创EDA软件和Altium Designer软件设计电路电子制作(2019年24期)2019-02-23

推荐访问:采样 通道 数据

版权声明:

1、本网站发布的作文《基于FPGA+AD7606,的多通道数据采样系统设计与实现》为爱作文网注册网友原创或整理,版权归原作者所有,转载请注明出处!

2、本网站作文/文章《基于FPGA+AD7606,的多通道数据采样系统设计与实现》仅代表作者本人的观点,与本网站立场无关,作者文责自负。

3、本网站一直无私为全国中小学生提供大量优秀作文范文,免费帮同学们审核作文,评改作文。对于不当转载或引用本网内容而引起的民事纷争、行政处理或其他损失,本网不承担责任。

热门专题